This is a sister article to the previous one on “LAM and Electron Beam Lithography”. It tells a story of the interweaving contradictions between innovation, courage, luck, and operational management.

Chapter One Link to heading

There is a regret in “The Giant of Lithography” that there is no psychological description of the founder Mr. Arthur Del Prado’s forced exit from ASML.

If readers have the opportunity to read the thick book of over 900 pages about Mr. Del Prado’s 50-year entrepreneurial journey called “Fortunes of High Tech”, they will marvel at the twists and turns he experienced.

Today, ASM International (ASMI) has a market value of 10.6 billion euros.

The market value of ASM Pacific (ASM PT) is 46.5 billion Hong Kong dollars.

The market value of ASML, which left ASM, is 222.6 billion US dollars.

The market value of BESI (including former ASM Fico) is 4.5 billion euros.

What stories lie behind these miraculous numbers?

Chapter Two Link to heading

The early business of Dutch ASM (Advanced Semiconductor Materials) company also began with agency representation, and there is nothing to fault in their “Trade, Industry and Technology” approach.

In the first half of the golden 1980s, ASM’s CVD innovation provided the material foundation for the birth of ASML, which was also rare among global semiconductor service providers to possess a full range of lithography, deposition, ion implantation, and various packaging equipment in the mid-1980s.

Subsequently, the lack of funds and short-sighted investors gave Mr. Del Prado endless pressure and worries.

Mr. Del Prado’s drive for innovation clashed fiercely with the shareholders’ demand for short-term value return at the core.

The rollercoaster of the semiconductor industry has caused ASM to experience ups and downs from the late 1980s to the 2000s. Apart from ASML, the company also sold its subsidiaries, ASM Ion Implant and ASM Fico, which specialized in ion implantation and chip level packaging,respectively.

Next, let’s focus on ASM company during the 2007-2008 financial crisis.

Chapter Three Link to heading

ASM Pacific is the Asia-Pacific branch of ASM, which includes China and Southeast Asia, while Japan and Korea have separate branches to handle the strong semiconductor industry.

Considering the unique culture of Asia, Mr. Del Prado from the beginning allowed local people to take the lead in management, and the result was successful.

Back then, China’s semiconductor industry was relatively backward, so ASM Pacific’s main focus was on backend packaging and bonding equipment. This provided a solid foothold and led to success up until today.

However, ASM International’s headquarters is currently facing survival challenges due to its inferior product competitive edge compared to companies such as AMAT.

ASM’s investors are demanding the divestment of profitable ASM Pacific, when in fact they wish for the loss-making headquarters to be shut down sooner. However, Del Prado hopes that Pacific will subsidize the semiconductor front-end equipment research and operations of the headquarters.

Moreover, in Del Prado’s hands lies a powerful weapon that is waiting to be unleashed.

Chapter Four Link to heading

Due to pressure from various PE shareholders, Old Prado chose to retire in 2008, but he strongly advocated for his son, Young Prado, to take over. This is a common practice in East Asia, but it was strongly opposed by investment institutions who believed that this action violated modern corporate governance principles.

Due to the constant need for capital in the semiconductor industry and the introduction of external investment, the Prado family at that time only held about 35% of the shares in ASM.

Shareholder Fursa (a hedge fund formerly owned by Bank of New York Mellon) has also invested in AMAT and, together with them, raises doubts about the management capability of Prado father and son, and demands the company to be split up and sold.

The shadow of being forced to give up ASML in the past prompted Prado and his son to rise up in rebellion, and they established a foundation to temporarily preserve the company’s control.

However, the matter is not over.

Later, AMAT offered only 400-500 million dollars in an attempt to purchase ASM’s most core deposition business. This was in 2008, when the semiconductor industry experienced a collapse that we all still remember.

Fortunately, just as ASM was considering desperate measures, it seems that AMAT realized that spending money during a financial crisis was inappropriate and withdrew their offer.

However, where does the life-saving money come from?

Chapter Five Link to heading

Now we come to the big weapon mentioned earlier.

This is ASM’s atomic layer deposition technology (ALD), which has been developed for 10 years.

In advanced manufacturing processes below 45nm, traditional oxide films have reached their leakage limit. However, the use of High-K metal gates (HKMG) with ALD reduces leakage by an order of magnitude.

ALD is the ace up ASM’s sleeve, but currently it cannot be played because mainstream production of Intel is still at 65nm.

Due to the importance of High-K/ALD technology in continuing the Moore’s Law from the previous decade, Intel provided ASM with financial assistance in 2009. However, this investment was kept confidential, so there were hardly any organizations that joined in, causing ASM’s wafer front-end to lay off 1/4 of its workforce due to a lack of funds.

External investors such as Fursa continued to interfere with the operation of the company, leading to a legal battle for control that lasted until 2012. The Supreme Court ultimately sided with the Prado father and son, with young Prado proving himself to be a competent manager.

It was not until 2013 that ASM’s front-end business returned to profitability, after relying on ASM Pacific’s back-end business for nearly 10 years.

Because High-K finally became mainstream, ASM International has opened up a new battlefield and soared high amidst the encirclement of giants.

Chapter Six Link to heading

Has old Del Prado retired from the world after a successful career?

There isn’t any.

After retiring, he continued to invest his life’s earnings into Mapper Lithography, which specialized in electron beam lithography, leading to his final battle against the rival company ASML.

We have mentioned that EUV was not highly regarded in the first decade, and even in 2015, various experts, including Intel and TSMC, did not believe it could be used.

Mapper company is the offspring of Delft University in the Netherlands. Friends who have seen “The Giant Lithography” should know that this is equivalent to Tsinghua University in the Netherlands.

The goal of Mapper is to increase the efficiency of “light” lithography using 13,260 electronic beams. Mapper not only receives a significant amount of government subsidies, but also has investments from TSMC and actively collaborates with the company in Fab experimentation.

Mr. Burn Lin, a pioneer in the field of photolithography, is a strong supporter of Mapper.

The king of testing and process control, KLA, is also an investment institution for Mapper.

Considering that so many experts believe that electron beam lithography is viable, we cannot assume that EUV is the only way forward. However, no one knows the cost of implementing electron beam lithography for HVM production and developing an industry ecosystem.

In 2016, Comrade Del Prado passed away. The mapping industry lost an important influencer, whose prototypes only achieved 2000 electron beams and suffered from various issues.

As the EUV prospects gradually become clear, Mapper Corporation, which has been striving for nearly 20 years, has struggled to continue financing and declared bankruptcy after Christmas in 2018.

Just one month later, ASML swiftly acquired Mapper’s IP assets, absorbed most of its R&D staff, and shut down the product line. Although ASML also has electron beam detection equipment, this move looks more like rooting out its former EUV competitor.

Chapter Seven Link to heading

Today, CPUs produced by ALD equipment bearing the “ASM” logo are lying in most of our computers or smartphones.

The separation of the ASM PT’s voice has been constantly heard. As a Hong Kong listed company rooted in China, she has also made prominent contributions to the development of the Chinese economy.

The legacy of Mr. Arthur Del Prado, who survived Japanese concentration camps during World War II, continues to affect our lives even after his passing.

This article is just a starting point, as there are numerous legendary heroes in the semiconductor industry, like shining stars in the sky.