KLA is a difficult company to write about, but as one of the “neck-restraining” top three American companies, it is also a difficult topic to avoid. This article will attempt to avoid describing the complex measurement technology details and instead focus on the founder’s journey in outlining KLA’s dominance.

Chapter 1. Poor children’s early life. Link to heading

On October 17th, 2006, Ken Levy(“KL”), the founder of KLA, was forced to leave the company he had been leading for over thirty years due to an options scandal, while the other founder, Bob Anderson (“A”), had left over ten years earlier.

Levy’s parents are both disabled people who didn’t finish junior high school. They make a living by operating a printing shop. Levy said he never had time to play after school because he had to help take care of the small family business. However, these experiences taught him how to run a small business like a mini-MBA, and KLA’s business is composed of small businesses.

Levy’s parents did not have the money to send him to college, so he attended one of the few free colleges available at the time: City College of New York.

After graduation, Levy went to Syracuse University to pursue his master’s degree. At the same time, he worked as a technician at the Bell Labs through a work-study program. This was in the late 1960s, when the Bell Labs were at the height of their success and had an abundance of funding. It was rumored that 1% of all telephone fees in the United States were given to the Bell Labs.

There, Levy learned to identify who the talented individuals were and how enjoyable it was to work with them. This also formed KLA’s later recruitment style.

Chapter 2. Bonding with Semiconductors. Link to heading

Clearly, Levy’s resume was not enough to get him into Bell Labs after graduation. His first official job was at a company called Link, which made flight simulators, and he stayed there for six years.

At that time, the computer’s simulation performance was obviously very poor. Levy said he didn’t even have a calculator and had to use a slide rule. However, the era allowed Levy to participate in the simulation of the Apollo moon landing and collaborate with IBM, which was an opportunity to touch the pinnacle of technology.

Several experts in the Link resigned and founded Computervision (CV), one of the pioneering companies in CAD. The founder offered Levy an excellent offer and strongly urged him to join, but Levy had originally planned to take a leave to pursue a Ph.D.

Like other startup companies, CV has big dreams, but they also need to earn some money to support themselves, because CAD requires a huge amount of early R&D expenses.

By utilizing optical technology in CV, Levy pioneered automatic wafer alignment. Early lithography machines were also called aligners.

Back then, making chips was far from being as advanced as it is today. It was quite common for different factories to manually align and etch the chips. Levy purchased standard equipment from K&S and then modified it to become automatic alignment before reselling it.

Due to the small size of the company, Levy not only designs and manufactures, but also handles sales himself. He traveled to former industry giants such as IBM, TI, Motorola, Xiantong, and Guoban.

According to his recollection, Intel was so small at that time that it could casually arrange meetings with big names like Noyce and Moore. This Versailles-like scenario actually demonstrated one of the major success factors for KLA in the future - networking (customer relationships).

Chapter 3. From Cobilt to KLA Link to heading

Perhaps by chance, Motorola was also using Cobilt’s equipment at that time, but there were quality issues. Cobilt was just a small California company with about ten employees, and Motorola suggested that they work with Levy to solve the problem. As a result, Levy strongly recommended that CV acquire Cobilt.

With Cobilt, Levy is no longer constrained by K&S. Cobilt has developed well, quickly becoming one of the comprehensive semiconductor equipment companies.

Because CV’s CAD business later took off and made a lot of money, they looked down on the hard chip equipment business and sold the Cobilt lithography division to Applied Materials (AMAT) and the deposition and other businesses to Tokyo Electron (TEL). This was in 1975, which was a low point for the semiconductor industry.

Originally just a Cobilt agent, Tokyo Electronics gradually became the big brother of the deposition business. However, when Applied Materials’ lithography failed, this was the reason why their CEO later advised ASML to give up (refer to “Giant of Lithography”).

Due to his familiarity with the chip industry, Ken Levy realized the importance of measurement technology in the manufacturing process, and thus founded a startup with CV’s CFO, Anderson. The new company was named KLA, with Levy as the backbone, which is why his name occupies two letters.

Starting a business is not easy, especially during the semiconductor industry’s downturn when it is challenging to obtain financing. Levy spent a year and only received $600,000.

Chapter 4. Unique Racecourse Link to heading

KLA’s first product is an optical inspection device for masks, designed to detect defects or dust on the mask. This product line is called RAPID, and it is several times faster than manual inspection.

And this industry demand, other companies have almost not noticed or do not care, everyone originally thought that Mask was just a consumable. Levy has done lithography himself and personally played on the production line, so he keenly captured this entry point.

The philosophy of KLA is to invent things that others do not have but are in great need of, which makes them always without competition on the track. In the semiconductor equipment industry, it is very common for the winner to take all, and it is very difficult for the later followers to catch up, and detecting itself is a niche small field.

The second series of KLA is WISARD, which is used for wafer inspection.

KLA’s advanced optical and computer graphics technology, combined with a deep understanding of the industry, make it almost unbeatable. Even the renowned Japanese chip factories of the 1980s purchased large quantities of KLA equipment.

Starting from the 1990s, KLA shifted its focus from offline to online testing, which further improved chip yield and production efficiency, and also caught the new wave of semiconductor investment in the mid-1990s.

One important success factor for customer B is stickiness. Offline devices can easily be abandoned if they have problems, but online devices are part of the production line. It takes 1-n years for today’s production line to light up and meet quality standards, and the probability of equipment being reconfigured is extremely low. Not to mention, measuring equipment itself is the key to calibrating and controlling the stability of other devices.

Chapter 5. Pressing down on the competition. Link to heading

Despite the strong growth momentum of KLA, Levy has noticed that there are still some other companies replicating KLA’s successful path. Each of them has their own unique equipment features, and they do not overlap with KLA’s product capabilities.

The turnover of these individual devices is not significant, and for KLA, the return on investment in self-developed replacement equipment is poor. Additionally, latecomers find it difficult to compete and seize the already occupied market.

Therefore, mergers and acquisitions become the main trend in the following period.

In 1996, KLA merged with Tencor, incorporating excellent thin film measurement technology, process diagnostics, and production line management technology. Tencor was also of considerable size and the two companies complemented each other, forming a powerful alliance that established KLA’s dominant position.

In 1998-1999, KLA acquired a series of technologies, including Interference Measurement (Germany’s Nanopro), Scanning Electron Microscopy (USA’s Amray), Production Line Image Management (USA’s VARS), and Silicon Wafer Defect Analysis (USA’s Uniphase).

As we enter the new century, KLA’s acquisition pace has not slowed down. According to statistics, KLA-Tencor has acquired a total of 27 companies to date.

Traditional general-purpose instrument companies like Agilent simply cannot fully focus on the narrow market of chip measurements like KLA does, and therefore have gradually withdrawn from the competition.

Japanese companies such as Advantest have been squeezed to specialize in specific areas such as DRAM and RF testing.

Chapter 6, Performance and Risk. Link to heading

A wide variety of complex and cutting-edge measurement technologies and extensive customer relationships have formed KLA’s deep and broad moat. KLA has installed nearly 60,000 units worldwide, and the annual equipment service fee alone accounts for one-fourth of its revenue.

KLA’s 62% gross profit margin and 40% operating profit margin make ASML pale by comparison.

In 2021, KLA’s market share exceeded 50%, while the second-place had only slightly over 10%.

(Electron Beam Inspection)

However, KLA’s business model is not without flaws.

Due to the rapidly advancing semiconductor production process technology, KLA is forced to constantly invest significant amounts of funds into research and development of new measurement equipment.

Nevertheless, even with the introduction of new technologies such as EUV, it is still unavoidable that new players or innovative inspection techniques will emerge and KLA may inadvertently lose its competitive edge in a particular field.

In fact, KLA once held a market share of up to 70%. After all, the winner takes all phenomenon can also occur among competitors.

Chapter 7, Levy’s Farewell. Link to heading

In 2006, the US SEC launched an investigation into the stock option backdating issue at KLA five years earlier. Levy, as the Chairman, CEO, and General Counsel, stepped down together.

The highly respected former CEO of Applied Materials, Ned Barnholt, took over from Levy and has kept KLA steady to this day.

This year, many companies including Broadcom and McAfee have also faced similar accusations, but the most famous defendant among them is Steve Jobs.

Fortunately, when Apple was investigated, public opinion had already shifted and most investors did not want to see a general decline in technology stocks. Jobs simply apologized and was punished with three cups of wine, and arranged for Apple’s former CFO to resign from the board of directors.

After three months, the first generation iPhone was launched.

Apple’s steadfast pursuit of speed, which replaced Intel and led the semiconductor industry’s great leap forward, has indirectly caused KLA’s stock price to multiply 20 times from the low point of the financial crisis to the present.